首页计算机书籍程序设计《Verilog HDL数字集成电路设计原理与应用》蔡觉平 何小川编著
缘之空

文档

273

关注

0

好评

0
PDF

《Verilog HDL数字集成电路设计原理与应用》蔡觉平 何小川编著

阅读 602 下载 1 大小 21.43M 总页数 288 页 2022-11-18 分享
价格:¥ 10.00
下载文档
/ 288
全屏查看
《Verilog HDL数字集成电路设计原理与应用》蔡觉平 何小川编著
还有 288 页未读 ,您可以 继续阅读 或 下载文档
1、本文档共计 288 页,下载后文档不带www.pdfdz.com水印,支持完整阅读内容。
2、古籍基本都为PDF扫描版,所以文档不支持编辑功能,即不支持文档内文字的复制粘贴。
3、当您付费下载文档后,您只拥有了使用权限,并不意味着购买了版权,文档只能用于自身使用,不得用于其他商业用途(如 [转卖]进行直接盈利或[编辑后售卖]进行间接盈利)。
4、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。
5、如文档内容存在违规,或者侵犯商业秘密、侵犯著作权等,请点击“违规举报”。
内容简介本书系统地对Verilog HDL语法和程序设计进行了介绍,明确了数字可综合逻辑设计和测试仿真程序设计在Verilog HDL语言中的不同,通过对典型的组合逻辑电路、时序逻辑电路和测试程序的设计举例,较为完整地说明了Verilog HDL语言在数字集成电路中的使用方法。全书共8章,主要内容包括硬件描述语言和Verilog HDL概述,Verilog HDL的基本语法,VerilogHDL程序设计语句和描述方式,Verilog HDL对组合逻辑和时序逻辑的设计和举例,Verilog HDL集成电路测试程序和测试方法,较为复杂的数字电路和系统的设计举例,数字集成电路中Verilog HDL的EDA工具和使用,以及对Verilog HDL发展的分析等。本书可作为电子信息类相关专业本科生和研究生的教材,也可作为数字集成电路设计工程师的参考书。图书在版编目(CIP)数据Verilog HDL数字集成电路设计原理与应用/蔡觉平,何小川,李道楠编著.一西安:西安电子科技大学出版社,2011.9高等学校电子信息类专业“十二五”规划教材ISBN978-7-5606-2652-9【。①V…Ⅱ.①蔡…②何…③李…Ⅲ.①数字集成电路一电路设计一高等学校一教材②VHDL语言一程序设计一高等学校一教材IV.①TN431.2②TP312中国版本图书馆CP数据核字(2011)第155039号策划编辑云立实责任编辑买永莲云立实出版发行西安电子科技大学出版社(西安市太白南路2号)电话(029)8824288588201467邮编710071网址.xduph电子邮箱xdupfxb001@163经销新华书店印刷单位陕西华沐印刷科技有限责任公司版次2011年9月第1版2011年9月第1次印刷开本787毫米×1092毫米1/16印张18数421千字印数1一3000册定价30.00元ISBN978-7-5606-2652-9/TN·0620XDUP2944001-1***如有印装问题可调换***本杜图书封面为激光防伪覆膜,谨防。前言随着集成电路技术的飞速发展,集成电路的制造工艺已经达到32m甚至更小尺寸,数字集成电路的规模越来越大,复杂度越来越高。为了提高设计的效率和可靠性,融合了电子技术、计算机技术和智能化技术的EDA(Electronics Design Autotion)工具在高速复杂的数字集成电路中得到了广泛应用。硬件描述语言HDL)是现代专用集成电路(ASIC)EDA设计的重要设计和仿真语言。目前,大部分数字集成电路设计者都在使用HDL的设计方法创建高层次、结构化、基于语言的抽象电路描述,利用已有的设计技术综合出所需的硬件电路,并对其进行功能验证和时序分析。对于准备从事集成电路和FPGA设计的读者来说,需要了解如何在设计流程的关键阶段正确使用HDL,并在综合后获得期望的电路。因此需要在HDL基本语法结构的基础上,深入理解电路的综合特性和测试仿真方法。本书就是为这样一个目标而撰写的。Verilog HDL是被广泛采用的一种硬件描述语言,目前有关Verilog HDL的书籍多数重点讲解语言和语法,较少分析Verilog HDL语言和相应数字电路之间的关系以及如何通过设计得到与目标相符合的电路系统。本书的着眼点主要在于Verilog HDL的设计方法上,这是编写的基本出发点。本书主要根据Verilog HDL国际标准EEE1364-2001,对基本语法、设计方法、综合方法和验证方法等进行讲解和分析,并通过大量的实用电路的设计,使读者能够对基于VerilogHDL的数字集成电路设计技术有一个全面的了解。本书重点集中于如何在数字电路的设计、综合和验证过程中合理使用Verilog HDL。由于Verilog HDL本质上是对数字电路的一种抽象描述方法,因此学习本书时需具备较深入的数字电路设计基础,同时至少须熟悉一种编程语言。在本书中,我们仅讨论了Verilog HDL核心设计方法和验证方法,采用了典型的设计例程,帮助读者快速掌握相关知识。由于数字电路多样而灵活,因此希望这些例程能够为复杂电路的设计提供帮助。在数字电路中通常采用真值表、状态转移图和算法状态图对组合电路和时序电路进行分析和表示,本书即采用了这些方法用于Verilog HDL的设计和分析,以提高读者对设计方法的理解。同时,对于目前在信号处理、自动控制、数值计算等应用中所采用的一些设计方法(如查找表(LUT)、级数展开和有限状态机)进行了说明和举例,希望能够帮助读者扩展设计思路。本书列举大量实例的目的主要是希望读者在使用Verilog HDL进行超大规模集成电路(V设计中,学习如何应用关键步骤进行设计和验证。所列举的实例是完整的,并在ModelSim和Synplify软件中进行了编译、综合和仿真。本书适用于学习集成电路设计和硬件描述语言课程的本科生和研究生,可作为课程教材和设计参考,对于希望通过实例学习Verilog HDL并将这种语言应用于集成电路设计和测试的专业工程师,也会有一定的帮助。
返回顶部